工学 >>> 力学 农业工程 林业工程 工程与技术科学基础学科 测绘科学技术 材料科学 矿山工程技术 石油与天然气工程 冶金工程技术 机械工程 光学工程 仪器科学与技术 动力与电气工程 能源科学技术 核科学技术 电子科学与技术 信息与通信工程 控制科学与技术 计算机科学技术 化学工程 纺织科学技术 印刷工业 服装工业、制鞋工业 轻工技术与工程 食品科学技术 土木建筑工程 水利工程 交通运输工程 船舶与海洋工程 航空、航天科学技术 兵器科学与技术 环境科学技术 安全科学技术 工业设计
搜索结果: 1-15 共查到工学 CPLD相关记录35条 . 查询时间(0.062 秒)
兰州交通大学EDA技术实验课件 FPGA/CPLD结构与应用。
阐述了基于寄存器传输级RTL采集旋转编码器数据来控制电路的一种结构设计方式,选用同步串行接口(SSI)的绝对值格雷码旋转编码器,采用复杂可编程逻辑器件(CPLD),结合图形编程和VerilogHDL硬件等语言编程,通过处理器读取CPLD采集到的旋转编码器的位置数据,实现火炮位置测量;阐述数据主要来源于采集同步脉冲的功能设计与仿真。
为了解决当前操作台与PLC信息传输过程中的数据量大、实时性、抗干扰性等问题,提出了一种基于CPLD的舞台吊杆操作台设计方案。该方案采用精简、模块化设计思想,设计了模拟信号的A/D转换控制、8路数据通道选择控制、LED显示控制等功能模块,实现了操作台与PLC控制系统之间并行的I/O信息交换功能。系统采用Verilog HDL语言,通过Quartus II=2\*ROMAN5.1软件编程实现各功能模块...
介绍了一种使用高性能数字信号处理器( D S P ) 和复杂可编程逻辑器件( C P L D ) 作为核心元件的无刷陀螺马达全数字稳速系统。其中采用基于 D S P的软件锁相环( P L L ) 算法实现了高精度速度闭环, 采用 C P L D实现了无刷电机的电子换相和逻辑保护。经过实际样机测试, 电机在较宽的转速范围内均能进入相位锁定状态, 电机转子霍尔( H a l l ) 位置传感器的反馈信...
压下油缸位置闭环高精度控制是实现冷轧带材板厚板形高精度控制的基础,缸位移信号的检测精度直接影响油缸位置闭环精度。以CPLD为主控制芯片,利用VHDL硬件描述语言,设计了板厚控制(AGC)系统油缸位置检测接口电路,实现了Sony磁尺等增量编码器形式的信号采集与处理。该位置检测接口电路采集和处理信号速度快,抗干扰能力强,若封装成独立模块,可应用于其他工业领域。仿真和试验验证了所设计的AGC系统位置检测...
针对模拟光端机传输质量低、信号易受环境十扰而失真、系统性能不稳定和电路设计复杂等缺点,采用CPLD对四路数字光端机进行设计。考虑到四路采样频率在满足以上要求的同时,还要通过一路光纤传输四路采样数据的情况,提出了并串转换频率为采样频率四倍的策略,实现了分时复用光纤通道。通过实验和时序仿真,验证了该系统的止确性和可行性。该系统结构简单、成本低、性能稳定,是末来数字光端机行业发展的方向。
基于DSP与CPLD的400 Hz中频电源设计     DSP  SPWM  逆变  CPLD       2012/11/26
利用DSP产生SPWM波,驱动IGBT逆变,从而产生纯正弦交流电进行400 Hz中频电源的研究与设计.给出了以DSP为主控芯片的主电路、控制电路以及软件设计的流程.实验结果表明:利用DSP与CPLD使得控制电路大为简化,改善了功率因数,减少了谐波影响,从而提高了工作效率.
针对电荷耦合器件CCD在进行图像扫描时需要稳定的外部驱动电路支持才能工作,而以往设计的驱动电 路存在体积大、易受干扰等缺点,以TCD1703C为例,设计出一种基于复杂可编程逻辑器件(CPLD)的时序驱动电 路。本驱动电路各模块采用VerilogHDL语言编写,减少了驱动电路体积,同时具有较好的稳定性和保密性。
针对传统驱动电路一旦做出修改,则需对硬件或程序进行改变的缺点,以型号为TCD1707D的线阵CCD为例,介绍了一种工作模式可调的驱动方法.该方法是利用复杂可编程逻辑器件和控制外端结合,通过分别设置内外触发来实现的.在外触发模式下,利用外触发脉冲,可由用户控制CCD的曝光和信号输出时间|内触发时,可以调节CCD的积分时间和驱动频率.为提高信号输出质量,针对EMC问题给出了线阵CCD的外围驱动电路.实...
分析三电平脉宽调制(pulse width modulation,PWM)整流器弃用中矢量的对称三区电压矢量脉宽调制策略,根据其控制脉冲的特点,提出了基于逻辑法的数字信号处理器(digital signal processor,DSP) + 可编程逻辑器件(complex programmable logic device,CPLD)的脉冲发生新方法。DSP负责发送区域信息和6路基准脉冲,CPLD...
CPLD     CPLD  逻辑器件       2011/10/31
Complex Programmable Logic Device,负责可编程逻辑器件。
视频字符的显示原理是将字符点阵信号与原始视频信号叠加。在视频图像上实时叠加字符,可以及时将关键的数据和工作状态显示出来,既醒目又直观,因此视频字符显示技术得到了广泛的应用。文中介绍一种视频字符显示方法,该方法利用CPLD(Complex Programmable Logic Device,复杂可编程逻辑器件)与单片机实现视频字符的显示功能,即通过监视器实时显示所需观测的字符信息。实验证明用这种方法...
为满足弧焊电源数字化与多功能化发展的要求,设计了一种以ARM+CPLD作为控制核心的用于弧焊电源的数字化面板。该面板采用LM3S818 MCU为主控芯片,采用EPM240T100 CPLD为显示控制芯片。用MCU对参数输入设备进行程序控制,产生相应控制命令;利用CPLD丰富的I/O引脚,对面板输出设备进行控制,把MCU发送的命令转化为面板上数码管和相应LED的显示。实现了焊接参数输入和显示的数字化...

中国研究生教育排行榜-

正在加载...

中国学术期刊排行榜-

正在加载...

世界大学科研机构排行榜-

正在加载...

中国大学排行榜-

正在加载...

人 物-

正在加载...

课 件-

正在加载...

视听资料-

正在加载...

研招资料 -

正在加载...

知识要闻-

正在加载...

国际动态-

正在加载...

会议中心-

正在加载...

学术指南-

正在加载...

学术站点-

正在加载...